请输入游戏名称
  • 游戏榜单
  • 软件榜单
关闭导航
热搜榜
热门下载
热门标签
modelsim最新版本

modelsim最新版本 v10.1c 官方版

2021-06-13

modelsim仿真软件下载

说明: 高速下载是直接下载应用市场,用户下载后可自行搜索目标软件进行更高速,更安全的下载。

modelsim最新版本是一款非常好用的仿真软件,它的页面简洁,功能强大,采用了编译技术、TCL/Tk技术,是FPGA/ASIC设计的必备神器,使用起来十分简便,感兴趣的朋友不要错过了,欢迎大家下载体验。

官方介绍

Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。

软件特点

· C和Tcl/Tk接口,C调试;

· 对SystemC的直接支持,和HDL任意混合;

· 支持SystemVerilog的设计功能;

· 对系统级描述语言的最全面支持,SystemVerilog,SystemC,PSL;

· ASIC Sign off。

· 可以单独或同时进行行为(behavioral)、RTL级、和门级(gate-level)的代码。

· RTL和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;

· 单内核VHDL和Verilog混合仿真;

· 源代码模版和助手,项目管理;

· 集成了性能分析、波形比较、代码覆盖、数据流ChaseX、Signal Spy、虚拟对象Virtual Object、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能;

优势亮点

1、统一的混合语言模拟引擎,易于使用和性能

2、支持的Verilog,SystemVerilog的设计,VHDL和SystemC对复杂的设计环境的有效核查

3、快速调试,易于使用,多语言调试环境

4、高级代码覆盖和分析 工具,可快速覆盖范围

5、交互式和后期模拟调试可用,因此两者都使用相同的调试环境

6、强大的波形 比较,便于分析差异和错误

无病毒 无广告 用户保障
展开
相关合集